La prueba de PowerVia muestra un desempeño único en la industria

Intel es el primero en implementar la energía backside en un chip y obtuvo como resultado una utilización de la celda de más del 90% y otras ventajas.

Noticias

  • 5 de junio de 2023

  • Contacto del equipo PR de Intel

  • Siga las noticias de Intel en las redes sociales:

    Logotipo de Twitter
    Icono de YouTube

author-image

Por

Novedades: Intel es el primero de la industria en implementar el suministro de energía de backside en un chip de prueba similar al de un producto, consiguiendo el desempeño necesario para impulsar al mundo hacia la próxima era de la informática. PowerVia, que se presentará en el nodo de proceso de Intel 20A en la primera mitad de 2024, es la solución de suministro de energía de backside líder en la industria. Resuelve el creciente problema de los cuellos de botella de interconexión en el escalado de áreas al mover el enrutamiento de energía a la parte posterior de una oblea.

"PowerVia es un hito importante en nuestra estrategia de 'cinco nodos en cuatro años' y en nuestro camino hacia la consecución de un billón de transistores en un paquete en 2030. El uso de un nodo de proceso de prueba y el chip de prueba posterior nos permitió eliminar el riesgo de energía backside para nuestros nodos de proceso, poniendo a Intel un nodo por delante de los competidores y trayendo la energía backside al mercado".

–Por Parte de Resalte Sell, vicepresidente de Desarrollo Tecnológico de Intel

Cómo funciona: Intel desacopla el desarrollo de PowerVia del desarrollo de transistores para garantizar su preparación para la implementación de chips basada en los nodos de proceso Intel 20A e Intel 18A. PowerVia fue evaluado en su propio nodo de prueba interno para garantizar una buena funcionalidad de la tecnología antes de su integración con RibbonFET en Intel 20A. Después de la fabricación y las pruebas en un chip de prueba, se confirmó que PowerVia brinda un uso notablemente eficiente de los recursos del chip con una utilización de celdas superior al 90 % y un gran escalado de transistores, lo que permite a los diseñadores de chips lograr mejoras en el rendimiento y la eficiencia de sus productos.

Intel presentará estas declaraciones en dos artículos en el "VlSI", que se celebrará del 11 al 16 de junio en Kioto, Japón.

¿Por qué es importante? PowerVia está muy por delante de de los competidores en las soluciones de energía backside, lo que brinda a los diseñadores de chips, incluidos los clientes de Intel Foundry Services (IFS), un camino más rápido hacia valiosas ganancias de energía y rendimiento en sus productos. Intel tiene una larga trayectoria en la introducción de las nuevas tecnologías más críticas de la industria, como el "strained silicon" o silicio tenso, la compuerta metálica Hi-K y la FinFET, para impulsar la Ley de Moore . Con la tecnología integral de compuerta PowerVia y RibbonFET que llegará en 2024, Intel continúa liderando la industria en diseño de chips e innovaciones de procesos.

PowerVia es la primera en resolver el creciente problema de cuellos de botella de interconexión para los diseñadores de chips. Los crecientes casos de uso, incluida la inteligencia artificial y los gráficos, requieren transistores más pequeños, densos y potentes para satisfacer las crecientes exigencias informáticas. En la actualidad y durante las últimas décadas, las líneas de potencia y señal dentro de la arquitectura de un transistor han competido por los mismos recursos. Al separar los dos chips, pueden aumentar el desempeño y la eficiencia energética, y ofrecer mejores resultados para los clientes. El suministro de energía backside es vital para la ampliación de transistores, lo que permite a los diseñadores de chips aumentar la densidad de los transistores sin sacrificar recursos para ofrecer más potencia y desempeño que nunca.

Cómo lo estamos haciendo: Intel 20A e Intel 18A presentarán tanto la tecnología de energía backside de PowerVia como la tecnología RibbonFET gate-all-around. Como una forma completamente nueva de suministrar energía a los transistores, la implementación de backside planteó nuevos desafíos para los diseños térmicos y de depuración.

Al desvincular el desarrollo de PowerVia de RibbonFET, Intel podría superar esos desafíos rápidamente para garantizar la preparación para la implementación en chips basados en los nodos de proceso 20A y 18A. Los ingenieros de Intel desarrollaron técnicas de mitigación para evitar que la temperatura se convierta en un problema. El equipo también desarrolló nuevas técnicas para garantizar que la nueva estructura de diseño se pudiera depurar adecuadamente. Como resultado, la implementación de la prueba brindó métricas sólidas de rendimiento y confiabilidad al tiempo que demostró la propuesta de valor de la tecnología antes de que se una a la nueva arquitectura RibbonFET.

La prueba también apalancaba las normas de diseño habilitadas por la litografía EUV (extreme preconfigurada), que producía resultados que incluían una utilización de celdas estándar de más del 90 % en grandes áreas del chip, lo que posibilitaba una mayor densidad celular, lo que cabría esperar que redujese los costos. La prueba también mostró una mejora de más del 30 % en la caída del voltaje de la plataforma y un 6 % de beneficio en la frecuencia. Intel también alcanzó características térmicas en el chip de prueba de PowerVia en línea con las densidades de energía más altas esperadas de la escala lógica.

Qué sigue: En un tercer documento que se presentará durante VLSI, el tecnólogo de Intel, Mauro Kobrlove, explicará la investigación de Intel sobre métodos más avanzados para implementar PowerVia, como permitir tanto la señalización como el suministro de energía, ya sea en la parte frontal o en la parte posterior de la oblea.

Llevar PowerVia a los clientes por delante de la industria y continuar creando hacia el futuro está en consonancia con la larga historia de Intel de ser el primero en traer nuevas tecnologías de semiconductores al mercado mientras innova constantemente.